官方微信|手机版|本站服务|买家中心|行业动态|帮助

产品|公司|采购|招标

MACH-Stat™控制器

参考价面议
具体成交价以合同协议为准
  • 公司名称上海益同仪器仪表有限公司
  • 品       牌
  • 型       号MACH-Stat™,MACH-Stat
  • 所  在  地上海
  • 厂商性质其他
  • 更新时间2023/10/27 9:05:26
  • 访问次数49
产品标签:

在线询价 收藏产品 查看电话 同类产品

联系我们时请说明是 线上买球·(中国)官方网站 - ios/安卓/手机版app下载 上看到的信息,谢谢!

上海益同仪器仪表有限公司致力于为广大客户提供高效、高质量的楼宇自控系统的业务咨询、设计选型、方案确定、施工安装、现场调试及技术支持,同时专业从事国外杰出厂商HONEYWELL、KROHNE、SE+ETOP、E+E、DWYER、MAC3等楼宇自控产品的成套进口及技术支持等业务。公司主要服务城市建筑、上等楼宇、电子厂房、生物制药、冶金、化工、环保、水处理等领域,是一家集方案设计、施工、专业的现场调试、日常技术维护等工程服务及自控产品、电力配电产品、HVAC暖通等相关产品销售于一体的高科技公司。 本公司一家绿色产业公司,致力于楼宇自控系统的节能优化,主要依托HONEYWELL系统,以其优异的开放性,很方便的整合系统集成,方便管理,利用其内置的能源管理软件,*大限度的合理节约能源,发挥楼宇自控的功能及潜在价值。
物位计
MACH-Stat™控制器是一个专为室内控制应用项目而设计的全可编程、多功能的BACnet® 控制器。
MACH-Stat™控制器 产品信息

MACH-Stat™控制器


MACH-Stat™是一个专为室内控制应用项目而设计的全可编程、多功能的BACnet® 控制器。用户可以不必通过计算机去进行访问。大屏幕液晶显示使操作人员可以查询/浏览/改变/优先设置 瑞保自控MACH-System™中42个不同点(包括输入, 输出和参数)。MACH-Stat™控制器提供多种功能选择: 带有实时时钟 (独立运作)、设点滑动杆、湿度传感器和活动探测传感器。


性能
协议
• BACnet®- MS/TP (EIA-485)
• Reliable Controls®- 网络通讯(EIA485/Token Bus)4个Control-BASIC™ 程序
• 用户可编程控制策略, 类似BASIC语言
• 每个程序*大2000个字节8个输入端(*多)
• 输入端1至4为通用端,利用接插头可选择:0-5VDC,4-20mA,热敏电阻和干接触
• 输入端5带有10k热敏电阻
• 输入端6可选择带20k或 10k设定值滑动器/干接触
• 输入端7可选择接湿度传感器
• 输入端8可选择接活动探测传感器8组输出插座
• 输出端1至6可接通用模块或继电器模块
• 输出端7和8只可接继电器模块
• 通用端输出范围:0–12VDC
• 单级继电器 — 利用接点可选择常开/常关48个参数
• 可选择标准参数和自定义设置范围、以及固定的或程序驱动值4个PID 环路
• 应用于closed-loopcontrol的标准P, PI, 或PID 控制3个趋势记录分析图
• 每个趋势记录分析图可保存6个点
• 可储存150个采样
• 采样时间间隔可随意设定8个运行时间记录
• 记录一个数字点的开关次数
• 可储存100个结果7个图像组
• 每个图像组允许6点值同时显示在LCD屏上
• 点的名称可为特定的显示名称1个应用特别图像组
• 显示6个系统的信号点2个系统组
• 允许相关点组合同时显示
• 50 点/每组1个周时间表
• 每个工作日四次开/关及两个优先设定日1个年度时间表
• 全年并标明节假日5个用户自定表格
• 建立用户自定的输入范围16个定制单元
• 8个模拟工程单元
• 8个数字工程单元
SMART-Net™ 端口
• 可连接4个SMART-Sensors™
证书• BTL (B-AAC)认证• ISO 16484-5• UL916认证• FCC CFR47Part 15 Class B
订货型号
MS (基本机型)
• 带有液晶显示器,4个通用输入,热敏电阻,和8组输出模块插座的MACH-Stat™控制器
选型
• 加–C 为带有实时时钟型
• 加–S 为带有滑动器型
• 加–H 为带有湿度监测功能型
• 加–OC 为带有活动监测功能型
举例说明
MS-C• 基本机型加实时时钟
MS-S-OC• 基本机型加滑动器和活动监测
MS-C-S-H-OC• 基本机型加所有的选型
RM• 继电器输出模块(每盒10个)
UM• 通用输出模块(每盒10个)
在找 MACH-Stat™控制器 产品的人还在看

提示

×

*您想获取产品的资料:

以上可多选,勾选其他,可自行输入要求

个人信息: